Deutsch
 
Hilfe Datenschutzhinweis Impressum
  DetailsucheBrowse

Datensatz

DATENSATZ AKTIONENEXPORT
  Shiftsreduce: Minimizing shifts in racetrack memory 4.0

Khan, A. A., Hameed, F., Bläsing, R., Parkin, S. S. P., & Castrillon, J. (2019). Shiftsreduce: Minimizing shifts in racetrack memory 4.0. ACM Transactions on Architecture and Code Optimization, 16(4): 56. doi:10.1145/3372489.

Item is

Basisdaten

einblenden: ausblenden:
Genre: Zeitschriftenartikel

Dateien

einblenden: Dateien
ausblenden: Dateien
:
3372489.pdf (Verlagsversion), 2MB
Name:
3372489.pdf
Beschreibung:
-
OA-Status:
Sichtbarkeit:
Öffentlich
MIME-Typ / Prüfsumme:
application/pdf / [MD5]
Technische Metadaten:
Copyright Datum:
2019
Copyright Info:
The Author(s)
Lizenz:
-

Externe Referenzen

einblenden:
ausblenden:
externe Referenz:
https://doi.org/10.1145/3372489 (Verlagsversion)
Beschreibung:
-
OA-Status:

Urheber

einblenden:
ausblenden:
 Urheber:
Khan, Asif Ali1, Autor
Hameed, Fazal1, Autor
Bläsing, Robin2, 3, Autor
Parkin, Stuart S. P.2, Autor                 
Castrillon, Jeronimo1, Autor
Affiliations:
1External Organizations, ou_persistent22              
2Nano-Systems from Ions, Spins and Electrons, Max Planck Institute of Microstructure Physics, Max Planck Society, ou_3287476              
3International Max Planck Research School for Science and Technology of Nano-Systems, Max Planck Institute of Microstructure Physics, Max Planck Society, Weinberg 2, 06120 Halle (Saale), Germany, ou_3399928              

Inhalt

einblenden:
ausblenden:
Schlagwörter: -
 Zusammenfassung: Racetrack memories (RMs) have significantly evolved since their conception in 2008, making them a serious contender in the field of emerging memory technologies. Despite key technological advancements, the access latency and energy consumption of an RM-based system are still highly influenced by the number of shift operations. These operations are required to move bits to the right positions in the racetracks. This article presents data-placement techniques for RMs that maximize the likelihood that consecutive references access nearby memory locations at runtime, thereby minimizing the number of shifts. We present an integer linear programming (ILP) formulation for optimal data placement in RMs, and we revisit existing offset assignment heuristics, originally proposed for random-access memories. We introduce a novel heuristic tailored to a realistic RM and combine it with a genetic search to further improve the solution. We show a reduction in the number of shifts of up to 52.5%, outperforming the state of the art by up to 16.1%.

Details

einblenden:
ausblenden:
Sprache(n):
 Datum: 2019-12-26
 Publikationsstatus: Online veröffentlicht
 Seiten: -
 Ort, Verlag, Ausgabe: -
 Inhaltsverzeichnis: -
 Art der Begutachtung: -
 Identifikatoren: BibTex Citekey: P13856
DOI: 10.1145/3372489
 Art des Abschluß: -

Veranstaltung

einblenden:

Entscheidung

einblenden:

Projektinformation

einblenden: ausblenden:
Projektname : Spin Orbitronics for Electronic Technologies (SORBET)
Grant ID : 670166
Förderprogramm : Horizon 2020 (H2020)
Förderorganisation : European Commission (EC)

Quelle 1

einblenden:
ausblenden:
Titel: ACM Transactions on Architecture and Code Optimization
Genre der Quelle: Zeitschrift
 Urheber:
Affiliations:
Ort, Verlag, Ausgabe: -
Seiten: - Band / Heft: 16 (4) Artikelnummer: 56 Start- / Endseite: - Identifikator: ISSN: 1544-3973