Deutsch
 
Hilfe Datenschutzhinweis Impressum
  DetailsucheBrowse

Datensatz

DATENSATZ AKTIONENEXPORT

Freigegeben

Zeitschriftenartikel

Shiftsreduce: Minimizing shifts in racetrack memory 4.0

MPG-Autoren

Bläsing,  Robin
Nano-Systems from Ions, Spins and Electrons, Max Planck Institute of Microstructure Physics, Max Planck Society;
International Max Planck Research School for Science and Technology of Nano-Systems, Max Planck Institute of Microstructure Physics, Max Planck Society;

/persons/resource/persons245678

Parkin,  Stuart S. P.       
Nano-Systems from Ions, Spins and Electrons, Max Planck Institute of Microstructure Physics, Max Planck Society;

Externe Ressourcen
Volltexte (beschränkter Zugriff)
Für Ihren IP-Bereich sind aktuell keine Volltexte freigegeben.
Volltexte (frei zugänglich)

3372489.pdf
(Verlagsversion), 2MB

Ergänzendes Material (frei zugänglich)
Es sind keine frei zugänglichen Ergänzenden Materialien verfügbar
Zitation

Khan, A. A., Hameed, F., Bläsing, R., Parkin, S. S. P., & Castrillon, J. (2019). Shiftsreduce: Minimizing shifts in racetrack memory 4.0. ACM Transactions on Architecture and Code Optimization, 16(4): 56. doi:10.1145/3372489.


Zitierlink: https://hdl.handle.net/21.11116/0000-0008-DC86-9
Zusammenfassung
Racetrack memories (RMs) have significantly evolved since their conception in 2008, making them a serious contender in the field of emerging memory technologies. Despite key technological advancements, the access latency and energy consumption of an RM-based system are still highly influenced by the number of shift operations. These operations are required to move bits to the right positions in the racetracks. This article presents data-placement techniques for RMs that maximize the likelihood that consecutive references access nearby memory locations at runtime, thereby minimizing the number of shifts. We present an integer linear programming (ILP) formulation for optimal data placement in RMs, and we revisit existing offset assignment heuristics, originally proposed for random-access memories. We introduce a novel heuristic tailored to a realistic RM and combine it with a genetic search to further improve the solution. We show a reduction in the number of shifts of up to 52.5%, outperforming the state of the art by up to 16.1%.